Articles from Onto Innovation Inc.
Onto Innovation Advances Process Control Suite for 3D Interconnect Yields
Onto Innovation Inc. (NYSEONTO) today announced advances in its product suite for 3D interconnect process control, featuring the new 3Di™ technology on the Dragonfly® G3 system for bump process control in high bandwidth memory (HBM) and advanced logic applications. Additionally, the EchoScan™ system is introduced for detecting voids as small as 1µm in wafer bonding applications, such as advanced hybrid bonding for Cu-Cu interconnects. Initial orders for the 3Di technology include a top HBM manufacturer, a tier one OSAT and a leading manufacturer of bump process equipment. The first EchoScan system will ship in the first quarter, with additional tools planned to ship to customers throughout 2025.
By Onto Innovation Inc. · Via Business Wire · January 14, 2025
Onto Innovation Receives Volume Purchase Agreement from a Leading DRAM Manufacturer for Metrology Product Suite
Onto Innovation Inc. (NYSEONTO) today announced that a leading DRAM manufacturer has finalized a $69 million volume purchase agreement spanning Onto Innovation’s optical metrology ecosystem, including common films, optical critical dimension, and integrated metrology. This agreement implies increased adoption of the Iris™ system for common films metrology, along with increased adoption of integrated metrology. Deliveries begin in the first quarter of 2025, supporting management’s expectations for the start of a recovery in the DRAM market in 2025.
By Onto Innovation Inc. · Via Business Wire · January 14, 2025
Onto Innovation to Participate in 27th Annual Needham Growth Conference
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will participate in the upcoming 27th Annual Needham Growth Conference, taking place at the Lotte New York Palace Hotel on January 15, 2025.
By Onto Innovation Inc. · Via Business Wire · January 6, 2025
Onto Innovation Reports 2024 Third Quarter Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the third fiscal quarter of 2024.
By Onto Innovation Inc. · Via Business Wire · October 31, 2024
Onto Innovation Announces “Tuck-In” Acquisition of Lumina Instruments, Inc. and Lithography Business of Kulicke and Soffa
Onto Innovation Inc. (NYSEONTO), a global provider of process control and packaging lithography solutions to the semiconductor market, today announced that it has acquired Lumina Instruments, Inc. based in Milpitas, California.
By Onto Innovation Inc. · Via Business Wire · October 31, 2024
Onto Innovation to Report Third Quarter 2024 Financial Results on October 31, 2024
Onto Innovation Inc. (NYSEONTO) will release its 2024 third quarter results shortly after the market closes on October 31, 2024. Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · October 14, 2024
Onto Innovation Announces Opening of Packaging Applications Center of Excellence
Onto Innovation Inc. (NYSEONTO) today announced the opening of the company’s Packaging Applications Center of Excellence (PACE), a first-of-its-kind facility in the U.S. dedicated to panel-level packaging (PLP) innovations enabling 2.5D and 3D chiplet architectures and AI packages. Collaborating partners represent key process steps across the supply chain, ranging from panel package and IC substrate manufacturers to process equipment and material suppliers. Collaborators include: ASMPT, Corning, Evatec, Lam Research, LPKF Laser & Electronics SE, MKS Instruments, Resonac Corporation, Taiyo Ink Mfg. Co., Ltd., and multiple others.
By Onto Innovation Inc. · Via Business Wire · September 4, 2024
Onto Innovation to Participate in Upcoming Investor Events
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that its senior management team will participate in the following upcoming investor events:
By Onto Innovation Inc. · Via Business Wire · August 19, 2024
Onto Innovation Reports 2024 Second Quarter Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the second fiscal quarter of 2024.
By Onto Innovation Inc. · Via Business Wire · August 8, 2024
Onto Innovation Welcomes Stephen Schwartz to its Board of Directors
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation”, “Onto”, or the “Company”) today announced Dr. Stephen Schwartz has joined its board of directors.
By Onto Innovation Inc. · Via Business Wire · July 16, 2024
Onto Innovation to Report Second Quarter 2024 Financial Results on August 8, 2024
Onto Innovation Inc. (NYSEONTO) will release its 2024 second quarter results shortly after the market closes on August 8, 2024. Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · July 12, 2024
Onto Innovation Further Strengthens Company’s Panel Portfolio with New Glass Suite
Onto Innovation Inc. (NYSEONTO) today announced Onto Innovation’s glass substrate suite featuring the JetStep® X500 panel-level packaging lithography system with hybrid substrate handling capabilities and the Firefly® G3 sub-micron automatic metrology and inspection system for panel-level packaging and advanced IC substrates (AICS). The JetStep X500 and Firefly G3 systems offer customers a complete panel-level packaging solution supporting heterogeneous integration (HI) chiplet packages for AI, high performance compute and cloud computing. The AICS market is expected to increase at a compound annual growth rate of 10% from 2023 to 2028, according to Prismark.
By Onto Innovation Inc. · Via Business Wire · July 9, 2024
Onto Innovation Invites Customers and Investors to Schedule Meetings at SEMICON® West
Onto Innovation Inc. (NYSEONTO) today announced it will be exhibiting at SEMICON West on July 9-11, 2024. Onto Innovation invites customers to booth 633 to learn about the Company’s latest portfolio of integrated process and process control solutions being used to support its customers’ ramp of AI products. Onto will feature a selection of its latest technologies helping to enable advanced packaging, including lithography for next generation AI and chiplet designs employing cutting edge panel-level production technologies (CCL and glass handling) and unique 3D metrology and inspection capabilities in support of next generation bump technologies. In addition, Onto will feature metrology solutions for advanced node logic (CPU/GPU) and memory (HBM, DRAM and NAND) applications; and a range of technologies for the production of specialty devices, including those for GaN and SiC, powering the advanced automotive market and electric vehicles. Onto’s product experts will be at the booth to answer specific applications questions.
By Onto Innovation Inc. · Via Business Wire · June 20, 2024
Onto Innovation to Participate in Upcoming Investor Events
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that its senior management team will participate in the following upcoming investor events:
By Onto Innovation Inc. · Via Business Wire · May 13, 2024
Onto Innovation Reports 2024 First Quarter Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the first fiscal quarter of 2024.
By Onto Innovation Inc. · Via Business Wire · May 9, 2024
Onto Innovation Debuts Sub-surface Defect Inspection for Advanced Packaging
Onto Innovation Inc. (NYSEONTO) today announced the release of a new sub-surface inspection capability for the Dragonfly® G3 sub-micron 2D/3D inspection and metrology platform. The new capability enables whole wafer inspection for critical yield impacting defects that can lead to lost die as well as entire wafers breaking in subsequent process steps. Such defects were previously impossible to find in a production environment. In today’s world of wafer thinning and multi-layer wafer or die bonding, sub-surface defects are far more dangerous than ever before as bonded layers are now a tenth of their former thickness and far more brittle and therefore more susceptible to damage pre- or post-bonding. Sub-surface defects that occur during the bonding or thinning process such as micro-cracks can cause not only die yield issues, but wafers can be shattered resulting in the loss of hundreds of die in an instant.
By Onto Innovation Inc. · Via Business Wire · April 23, 2024
Onto Innovation to Report First Quarter 2024 Financial Results on May 9, 2024
Onto Innovation Inc. (NYSEONTO) will release its 2024 first quarter results shortly after the market closes on May 9, 2024. Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · April 9, 2024
Onto Innovation Announces Investor Relations Transition Plan
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Sheaffer plans to retire from the Company in July this year. A successor search has now been successfully completed with Sidney Ho joining Onto Innovation as vice president of investor relations. Mr. Sheaffer will remain fully engaged in the investor relations role and will be the primary investor contact point until Mr. Ho assumes that responsibility during the Company’s investor meetings at SEMICON® West in July 2024.
By Onto Innovation Inc. · Via Business Wire · April 4, 2024
Onto Innovation Welcomes Susan Lynch to its Board of Directors
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation”, “Onto”, or the “Company”) today announced Susan Lynch has joined its board of directors.
By Onto Innovation Inc. · Via Business Wire · March 18, 2024
Onto Innovation’s 4Di InSpec™ Automated Metrology System Receives 2024 Innovative System of the Year Award from FANUC America
Onto Innovation Inc. (NYSEONTO) and its Tucson subsidiary 4D Technology today announced they’ve been named winner of FANUC America’s prestigious 2024 Innovative System of the Year award for the 4Di InSpec automated metrology system (AMS). The system enables automated surface defect and feature metrology for aviation, aerospace and other applications in the industrial manufacturing market. The patented, vibration-immune technology enables the unique capability of using non-contact, three-dimensional optical metrology on the production floor, providing new levels of defect inspection with micrometer-level resolution. In partnership with OptiPro Systems, the 4Di InSpec AMS systems were delivered in the second half of 2023 to several leading aerospace engine manufacturers.
By Onto Innovation Inc. · Via Business Wire · February 26, 2024
Onto Innovation Reports 2023 Fourth Quarter and Full Year Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the fourth quarter and full year 2023.
By Onto Innovation Inc. · Via Business Wire · February 8, 2024
Onto Innovation Debuts Firefly® G3 Panel-level Packaging Inspection System
Onto Innovation Inc. (NYSEONTO) today announced the launch of the new Firefly® G3 inspection and metrology system for automated process control during high volume production of panel-level substrates. The Firefly G3 system was shipped to a tier one customer supporting a variety of AI chiplet based panel-level packages with several additional customers expected to take delivery in the first half of 2024. The Firefly G3 system’s inspection and metrology capabilities uniquely complement Onto’s JetStep® family of panel-level lithography systems, using proprietary feed-forward and feedback software, providing high-resolution data to optimize layer-over-layer overlay accuracy throughout all layers on each side of the panel being processed, a unique capability in improving current panel performance and yield.
By Onto Innovation Inc. · Via Business Wire · January 16, 2024
Onto Innovation to Report Fourth Quarter and Full Year 2023 Financial Results on February 8, 2024
Onto Innovation Inc. (NYSEONTO) will release its 2023 fourth quarter and full year results shortly after the market closes on February 8, 2024. Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · January 12, 2024
Onto Innovation to Participate in 26th Annual Needham Growth Conference
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will participate in the upcoming 26th Annual Needham Growth Conference, taking place at the Lotte New York Palace Hotel on January 17-18, 2024.
By Onto Innovation Inc. · Via Business Wire · December 19, 2023
Onto Innovation Reports 2023 Third Quarter Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the third fiscal quarter of 2023.
By Onto Innovation Inc. · Via Business Wire · November 9, 2023
Onto Innovation to Report Third Quarter 2023 Financial Results on November 9, 2023
Onto Innovation Inc. (NYSEONTO) will release its 2023 third quarter results shortly after the market closes on November 9, 2023. Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · October 10, 2023
Onto Innovation Announces Over $100 Million in Orders for Systems Supporting Advanced Packaging for AI
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced finalizing over $100 million in orders for the Dragonfly® G3 inspection system with deliveries scheduled through the first quarter of 2024, plus new orders now extending into the second half of 2024. This represents an increase from the previously estimated customer demand reflecting the critical role the Dragonfly G3 system plays in support of advanced packaging for the expanding AI device market. The orders are from leading logic and memory manufacturers for heterogeneous integrated (HI) packages that combine a graphics processor (GPU) and numerous high bandwidth memory (HBM) devices to create an AI GPU in a single package. The Company expects additional orders in 2024 to support expansions in the AI GPU market, which is estimated to grow at an annual average rate of 40% over the next four years, according to International Data Corporation and JP Morgan.
By Onto Innovation Inc. · Via Business Wire · August 28, 2023
Onto Innovation to Participate in Upcoming Investor Events
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will participate in the following upcoming investor events:
By Onto Innovation Inc. · Via Business Wire · August 16, 2023
Onto Innovation Reports 2023 Second Quarter Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the second fiscal quarter of 2023.
By Onto Innovation Inc. · Via Business Wire · August 10, 2023
Onto Innovation Launches U.S. Applications Center of Excellence Focused on Panel-Level Packaging
Onto Innovation Inc. (NYSEONTO) today announced the launch of an Applications Center of Excellence, a combined tool demonstrator, photo resist qualification, process integration and research and development (R&D) facility focused on panel-level packaging (PLP), a key facilitator of the emerging chiplet market. The chiplet package market is expected to grow at an annual average rate of 103% over the next three years, according to TechSearch International.
By Onto Innovation Inc. · Via Business Wire · July 10, 2023
Onto Innovation to Report Second Quarter 2023 Financial Results on August 10, 2023
Onto Innovation Inc. (NYSEONTO) will release its 2023 second quarter results shortly after the market closes on August 10, 2023. Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · July 6, 2023
Onto Innovation Expands Portfolio of Process Control Solutions for High Growth Specialty Markets
Onto Innovation Inc. (NYSEONTO) today announced the introduction of the Atlas® S optical critical dimension metrology system and the Element™ S high-speed impurity mapping, dielectric film composition and epi thickness measurement system. The two new systems complement existing Dragonfly® inspection, IVS™ overlay, and Echo™ metrology product families building on the company’s strategy to provide a portfolio of comprehensive process control tools and software that work together to solve a wide range of inherent process challenges in the specialty markets. Within the specialty markets, growth of power applications, based on Si, SiC and GaN device technologies, is fueled by the transition to electric vehicles and the rapid adoption of GaN into fast charge applications in both consumer and automotive markets. Specifically, the demand for SiC and GaN power devices is seeing an annual average growth rate approaching 34% and 44% respectively, through 2027, according to Yole Group. Customer enthusiasm around both Atlas S and Element S systems has resulted in over $26 million in bookings with customers taking delivery of initial systems in the fourth quarter of 2023. These new systems, along with the entire Onto specialty portfolio, contribute to a 24% increase in year over year revenue for Onto in the power device market.
By Onto Innovation Inc. · Via Business Wire · July 12, 2023
Onto Innovation Invites Customers and Investors to Schedule Meetings at SEMICON® West
Onto Innovation Inc. (NYSEONTO) today announced it is proud to be exhibiting at SEMICON West on July 11-13, 2023. Onto Innovation invites customers and investors to booth 629 to learn about the Company’s latest portfolio of integrated process control solutions powered by connected thinking. Onto will feature solutions for advanced node devices enabling high-performance computing and AI; panel-level production technologies supporting advanced packaging and chiplet-based architectures; and specialty device technologies, including those for GaN and SiC, powering advanced automotive and electric vehicles. The management team attending the show includes Mike Plisinski, chief executive officer; Mark Slicer, chief financial officer; Srini Vedula, SVP customer success; and Mike Rosa, chief marketing officer, as well as regional sales, applications and product marketing managers.
By Onto Innovation Inc. · Via Business Wire · June 20, 2023
Onto Innovation to Host Analyst Event and Participate in Upcoming Investor Events
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today invites registration for its Company sponsored analyst event on June 1, 2023 at the New York Stock Exchange, New York, New York.
By Onto Innovation Inc. · Via Business Wire · May 11, 2023
Onto Innovation Reports 2023 First Quarter Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the first fiscal quarter of 2023.
By Onto Innovation Inc. · Via Business Wire · May 4, 2023
Save the Date: Onto Innovation to Host Analyst Event on June 1, 2023
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that it will host a Company sponsored analyst event on Thursday, June 1, 2023. The event will be held at the New York Stock Exchange, 11 Wall St., New York, New York 10005. The professional investment community is invited to join Onto’s management team for presentations and engage with our key technology exhibits.
By Onto Innovation Inc. · Via Business Wire · April 21, 2023
Onto Innovation to Report First Quarter 2023 Financial Results on May 4, 2023
Onto Innovation Inc. (NYSEONTO) will release its 2023 first quarter results shortly after the market closes on Thursday, May 4, 2023. Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · April 10, 2023
Onto Innovation Receives TSMC Honor for Unique Advanced Node and Packaging Technologies
Onto Innovation Inc. (NYSEONTO) today announced the company has received the Novel Technology Collaboration Award from TSMC as part of their initiative recognizing the outstanding contributions of their global suppliers.
By Onto Innovation Inc. · Via Business Wire · March 28, 2023
Onto Innovation Reports 2022 Fourth Quarter and Full Year Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the fourth quarter and full year 2022.
By Onto Innovation Inc. · Via Business Wire · February 9, 2023
Onto Innovation Welcomes Stephen D. Kelley to its Board of Directors
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation”, “Onto”, or the “Company”) today announced Stephen D. Kelley has joined its board of directors.
By Onto Innovation Inc. · Via Business Wire · January 24, 2023
Onto Innovation to Report Fourth Quarter and Full Year 2022 Financial Results on February 9, 2023
Onto Innovation Inc. (NYSEONTO) will release its 2022 fourth quarter and full year financial results shortly after the market closes on Thursday, February 9, 2023. Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · January 12, 2023
Onto Innovation to Participate in 25th Annual Needham Growth Conference
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will participate in the upcoming 25th Annual Needham Growth Conference, taking place at the Lotte New York Palace Hotel on January 10-11, 2023.
By Onto Innovation Inc. · Via Business Wire · December 21, 2022
Onto Innovation to Participate in 11th Annual NYC Summit in December
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced management’s participation in the 11th Annual NYC Summit, being held Tuesday, December 13 at Mastro’s New York.
By Onto Innovation Inc. · Via Business Wire · November 21, 2022
Onto Innovation to Participate in Morgan Stanley’s European TMT Conference in November
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Plisinski, chief executive officer and Mark Slicer, chief financial officer, are pleased to participate in Morgan Stanley’s TMT Conference in Barcelona. The Morgan Stanley European Technology, Media & Telecom Conference 2022 will be held at the Hotel Arts Barcelona on Wednesday, November 16, 2022. Management will be available during the day for one-on-one meetings.
By Onto Innovation Inc. · Via Business Wire · November 9, 2022
Onto Innovation Announces Third Quarter 2022 Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the third quarter of 2022.
By Onto Innovation Inc. · Via Business Wire · October 27, 2022
Onto Innovation Schedules 2022 Third Quarter Financial Results Conference Call for October 27, 2022
Onto Innovation Inc. (NYSEONTO) will release its 2022 third quarter financial results after the market closes on Thursday, October 27, 2022. Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · October 3, 2022
Onto Innovation Expands Customer Collaborations with Investment in Training, Demonstration and Solutions Development Centers in Asia
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced the opening of a new training, demonstration and solutions development center in South Korea focused on the Company’s broad line of inspection, metrology and lithography solutions. The center is located in Gyeonggi-do Province and is available to Onto’s customers across Asia.
By Onto Innovation Inc. · Via Business Wire · August 25, 2022
Onto Innovation to Participate in August Investor Conferences
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that: Michael Plisinski, chief executive officer, and Mark Slicer, chief financial officer, and Michael Sheaffer, investor relations, will participate in the following investor conferences:
By Onto Innovation Inc. · Via Business Wire · August 12, 2022
Onto Innovation Announces Results for Second Quarter 2022
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the second quarter of 2022.
By Onto Innovation Inc. · Via Business Wire · August 9, 2022
Onto Innovation Announces Third Customer has Qualified the Atlas® V System for Gate-All-Around Development and Pilot Production
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced it has received repeat orders of its Atlas® V optical critical dimension (OCD) platform for its uniquely powerful metrology solution for gate-all-around (GAA) / nanosheet transistors, the next-generation device architecture for advanced logic.
By Onto Innovation Inc. · Via Business Wire · July 12, 2022
Onto Innovation Announces the Latest Addition to its Family of Acoustic Metrology Products
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced the next evolutionary step of innovative acoustic metrology products. The new Echo™ system further expands the addressable market for in-line characterization of opaque films, which is estimated to be approximately $110 million, by leveraging the principle of picosecond ultrasonics to deliver critical thickness metrology and material characterization for key technology transitions in the leading-edge DRAM and high-stack NAND memory markets. For these advanced architectures, the Echo system provides critical metal film metrology enabling higher memory bandwidth and bit density. In specialty segments, the Echo system provides metal thickness and characterization for a variety of devices, including RF filters for 5G communications, and power devices for the rapidly growing electric vehicle (EV) and high-speed portable charger markets.
By Onto Innovation Inc. · Via Business Wire · July 11, 2022
Onto Innovation Schedules 2022 Second Quarter Financial Results Conference Call for August 9, 2022
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) will release its 2022 second quarter financial results after the market closes on Tuesday, August 9, 2022. The Company will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · July 8, 2022
Onto Innovation Invites Customers and Investors to Schedule Meetings at SEMICON® West
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced it will hold customer and investor meetings in the Company’s booth at SEMICON West July 12 - 14, 2022. The management team attending the show will include Mike Plisinski, chief executive officer, Mark Slicer, chief financial officer, Srini Vedula, senior vice president of customer success, and Mike Rosa, chief marketing officer, as well as various product experts. The Onto Innovation team can be found in booth 629 in the South Hall of Moscone Center.
By Onto Innovation Inc. · Via Business Wire · June 22, 2022
Onto Announces New EB40 All-Surface Inspection Module for Wafer Fabs and Advanced Packaging
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced its first shipment of the Company’s Dragonfly® G3 system with the new EB40™ module to a top three semiconductor manufacturer. Together, the system and module offer all-surface wafer inspection to address the yield loss caused by defects on the wafer edge and backside across front-end and back-end processes.
By Onto Innovation Inc. · Via Business Wire · June 9, 2022
Onto Innovation to Participate in Three Upcoming Investor Conferences
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that its management team —Michael Plisinski, chief executive officer, Mark Slicer, chief financial officer, and Steven Roth, former chief financial officer — will participate in the following investor conferences:
By Onto Innovation Inc. · Via Business Wire · May 18, 2022
Onto Innovation Appoints Boston Scientific Senior Financial Executive as Chief Financial Officer
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced the appointment of Mark Slicer to succeed Steve Roth as Onto Innovation’s chief financial officer.
By Onto Innovation Inc. · Via Business Wire · May 17, 2022
Onto Innovation Reports 2022 First Quarter Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the first quarter of 2022.
By Onto Innovation Inc. · Via Business Wire · May 3, 2022
Onto Innovation Schedules 2022 First Quarter Financial Results Conference Call for May 3, 2022
Onto Innovation Inc. (NYSEONTO) will release its 2022 first quarter financial results after the market closes on Tuesday, May 3, 2022. Onto Innovation will host a conference call in connection with its release of the financial results, which will be broadcast live over the internet. Michael P. Plisinski, chief executive officer, and Steven R. Roth, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · April 13, 2022
Onto Innovation Welcomes May Su to Its Board of Directors
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation”, “Onto”, or the “Company”) today announced May Su has joined its board of directors.
By Onto Innovation Inc. · Via Business Wire · March 21, 2022
CORRECTING and REPLACING VIDEO Onto Innovation Announces Growing $500 Million Order Backlog, Including Solutions for Heterogeneous Packaging
Please replace the video for release dated January 11, 2022 with the accompanying corrected video.
By Onto Innovation Inc. · Via Business Wire · February 9, 2022
Onto Innovation Reports 2021 Fourth Quarter and Full Year Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the fourth quarter and full year results for 2021.
By Onto Innovation Inc. · Via Business Wire · February 8, 2022
Onto Innovation Schedules 2021 Fourth Quarter and Full Year Financial Results Conference Call for February 8, 2022
Onto Innovation Inc. (NYSEONTO) will release its 2021 fourth quarter and full year financial results after the market closes on Tuesday, February 8, 2022. Onto Innovation will host a conference call in connection with its release of the financial results, which will be broadcast live over the internet. Michael P. Plisinski, chief executive officer, and Steven R. Roth, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · January 20, 2022
Onto Innovation Announces Growing $500 Million Order Backlog, Including Solutions for Heterogeneous Packaging
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced a total order backlog of $500 million comprised of more than $100 million for its lithography and inspection products in support of the emerging heterogeneous packaging sector, which covers technology designed for 2.5D and next-generation 3D packages and those using hybrid bonding as a method of connecting stacked chips.
By Onto Innovation Inc. · Via Business Wire · January 11, 2022
Onto Innovation to Participate in 24th Annual Needham Virtual Growth Conference
Onto Innovation Inc. (NYSEONTO) today announced that Michael Plisinski, chief executive officer, and Steven Roth, chief financial officer, will participate in the upcoming 24th Annual Needham Growth Conference, being held virtually January 10 – 14, 2022. Onto Innovation’s presentation will be webcast live on Wednesday, January 12, 2022 at 11:30 a.m. ET. The presentation material utilized for the conference and the live webcast of the presentation, will be made available on the investor relations page of Onto’s website at investors.ontoinnovation.com. The replay of the webcast presentation will also be available on the Company’s website at www.ontoinnovation.com from January 12, 2022 until March 16, 2022.
By Onto Innovation Inc. · Via Business Wire · January 5, 2022
Onto Innovation Announces Customer Selection of Comprehensive Integrated Metrology Suite
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto” or the “Company”) today announced $85 million in orders from a 3D NAND manufacturer for its integrated OCD and thin film metrology suite with deliveries beginning in 2022 and into the first half of 2023.
By Onto Innovation Inc. · Via Business Wire · December 7, 2021
Onto Innovation Announces Customer Selection of Comprehensive Integrated Metrology Suite Resulting in Long-Term $85 Million Commitment for Shipments 2022-2023
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto” or the “Company”) today announced $85 million in orders from a 3D NAND manufacturer for its integrated OCD and thin film metrology suite with deliveries beginning in 2022 and into the first half of 2023.
By Onto Innovation Inc. · Via Business Wire · December 7, 2021
Onto Innovation Announces Participation in 10th Annual December CEO Summit
Onto Innovation Inc. (NYSEONTO) today announced management’s participation in the 10th Annual December CEO Summit, scheduled for December 8, 2021, at the St. Regis hotel, San Francisco, California. The conference is being held concurrently with SEMICON® West 2021 and is an in-person event.
By Onto Innovation Inc. · Via Business Wire · November 9, 2021
Onto Innovation Reports 2021 Third Quarter Results
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the third quarter of 2021.
By Onto Innovation Inc. · Via Business Wire · November 4, 2021
Onto Innovation Schedules 2021 Third Quarter Financial Results Conference Call for November 4, 2021
Onto Innovation Inc. (NYSEONTO) will release its 2021 third quarter financial results after the market closes on Thursday, November 4, 2021. Onto Innovation will host a conference call in connection with its release of the financial results, which will be broadcast live over the internet. Michael P. Plisinski, chief executive officer, and Steven R. Roth, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · October 8, 2021
Onto Innovation Welcomes Karen Rogge to its Board of Directors
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation”, “Onto”, or the “Company”) today announced Karen Rogge has joined its board of directors.
By Onto Innovation Inc. · Via Business Wire · September 13, 2021
Onto Innovation’s Dragonfly® Inspection Platform Projecting Record Annual Growth in 2021
Onto Innovation Inc. (NYSEONTO) (“Onto Innovation”, “Onto”, or the “Company”) today announced unit volumes of the Company’s Dragonfly inspection system grew 50% in the first half of 2021 compared to the same period in 2020. The remainder of 2021 is expected to continue expanding the rapidly growing installed base resulting in a projected 70% compound annual growth rate (CAGR) from 2018 to 2021.
By Onto Innovation Inc. · Via Business Wire · August 31, 2021
Onto Innovation to Participate in Upcoming Jefferies Virtual Semiconductor, IT Hardware & Communications Infrastructure Summit
Onto Innovation, Inc., (NYSEONTO) today announced that Michael Plisinski, chief executive officer, and Michael Sheaffer, senior director of investor relations and corporate communications, will participate in the Jefferies Virtual Semiconductor, IT Hardware and Communications Infrastructure Summit being held August 31 – September 1, 2021. Onto Innovation management is scheduled to participate in one-on-one meetings on Wednesday, September 1, from 8:00 a.m. to 3:30 p.m. Central Time.
By Onto Innovation Inc. · Via Business Wire · August 19, 2021
Onto Innovation Reports 2021 Second Quarter Results
Onto Innovation Inc. (NYSEONTO) today announced financial results for the second quarter of 2021.
By Onto Innovation Inc. · Via Business Wire · August 5, 2021
Onto Innovation to Participate in Upcoming Investor Conferences
Onto Innovation Inc., (NYSEONTO) today announced that Michael Plisinski, chief executive officer, and Steven Roth, chief financial officer, will participate in the following investor conferences:
By Onto Innovation Inc. · Via Business Wire · August 2, 2021
Onto Innovation Schedules 2021 Second Quarter Financial Results Conference Call for August 5, 2021
Onto Innovation Inc. (NYSEONTO) will release its 2021 second quarter financial results after the market closes on Thursday, August 5, 2021. Onto Innovation will host a conference call in connection with its release of the financial results, which will be broadcast live over the internet. Michael P. Plisinski, chief executive officer, and Steven R. Roth, chief financial officer, will host the call. The call will take place:
By Onto Innovation Inc. · Via Business Wire · July 19, 2021